Ubuntu安装FPGA相关插件等
Verilog 编译语言环境安装
Verilog 代码环境编译安装
1 | sudo apt-get install iverilog |
iverilog 编译语言
gtkwave 仿真环境
Verilog 测试文件编写
创建 testbench(tb_compare)后编写代码如下
1 | `timescale 1ns/1ns |
其中$dumpfile(“test.vcd”)中的test.vcd为文件名,指定转储的文件名,相当于打开文件(不需要你另创建);$dumpvars(0,tb_compare)中tb_compare为tb的模块名。
运行不报错后,可以使用gtkwave生成波形图了,在命令行输入:
1 | gtkwave test.vcd |
系统自动打开gtkwave
开发参考
参考1